library IEEE; -- .vhd ext de vhdl USE IEEE.std_logic_1164.all;--funciones loicas USE IEEE.numeric_std.all; -- operaciones mat entity funcionent is -- parte fisica del circuito port( A,B,C: in std_logic; F: out std_logic); end funcionent; architecture funcionarq of funcionent is -- inteligencia del circuito signal aux1, aux2, aux3, aux4: std_logic; -- variables auxiliares locales begin -- comportamiento del circuito DISEniO aux1 <=(not C) and (not B) and A; aux2 <=(not C) and (not A) and B; aux3 <=(not A) and (not B) and C; aux4 <= C and B and A; F <=aux1 or aux3 or aux2 or aux4; end funcionarq; --cmd en la carpeta ghdl/bin ghdl -v -- ghdl -a funcion.vhd analisa errores de sintaxis de codigo. Sintax: ghdl -a nombre Archivo.vhd --