library IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity funcionent_tb is end funcionent_tb; architecture funcionarq of funcionent_tb is component funcionent--nombre de la entidad que se va a utilizar port( A,B,C: in std_logic;-- mismos nobres y tipo de dato de la aentidad F: out std_logic); end component; signal A, B, C: std_logic:='0'; signal F: std_logic; begin u1: funcionent port map (A,B,C,F); process begin A<='0'; B<='0'; C<='0'; wait for 20 fs; A<='0'; B<='0'; C<='1'; wait for 20 fs; A<='0'; B<='1'; C<='0'; wait for 20 fs; A<='0'; B<='1'; C<='1'; wait for 20 fs; A<='1'; B<='0'; C<='0'; wait for 20 fs; A<='1'; B<='0'; C<='1'; wait for 20 fs; A<='1'; B<='1'; C<='0'; wait for 20 fs; A<='1'; B<='1'; C<='1'; wait for 20 fs; wait;-- esrepa para indicar el proceso acabo end process; end funcionarq;